VHDL ile FPGA programlama

VHDL de array oluşturma ve kullanımı

satır sayısı 4 sütun sayısı 8 olan bir matris (yani array de diyebiliriz) oluşturmak isteyelim. Bu işlemi iki farklı şekilde yapabiliriz. Önce kolay olanla başlayalım type matris is array (0 to 3) of std_logic_vector(7 downto 0); bu kod her satırı 8 bit olan 3 satırlık bir array oluşturmuştur. Bunun biraz uzunca yazılmış hali ise şu… Okumaya devam et VHDL de array oluşturma ve kullanımı

VHDL ile FPGA programlama

VHDL ile FPGA programlama|Ders 6

  VHDL kullanıcıya kendi data type larını oluşturma imkanı verir. Kullanıcının tanımlayabilceği iki data type türü vardır: integer  ve enumerated. Kullanıcı tanımlı integer type Bir örnek verecek olursak;     type benim_integer is range -32 to 32; Normalde integer data type ın sınırları -2147483647 ile +2147483647 arasındadır. Bizim oluşturduğumuz benim_integer ismindeki data type ise -32 ile +32 arasında değer… Okumaya devam et VHDL ile FPGA programlama|Ders 6